Cantitate/Preț
Produs

Flip-Flop Design in Nanometer CMOS: From High Speed to Low Energy

Autor Massimo Alioto, Elio Consoli, Gaetano Palumbo
en Limba Engleză Hardback – 30 oct 2014
This book provides a unified treatment of Flip-Flop design and selection in nanometer CMOS VLSI systems. The design aspects related to the energy-delay tradeoff in Flip-Flops are discussed, including their energy-optimal selection according to the targeted application, and the detailed circuit design in nanometer CMOS VLSI systems. Design strategies are derived in a coherent framework that includes explicitly nanometer effects, including leakage, layout parasitics and process/voltage/temperature variations, as main advances over the existing body of work in the field. The related design tradeoffs are explored in a wide range of applications and the related energy-performance targets. A wide range of existing and recently proposed Flip-Flop topologies are discussed. Theoretical foundations are provided to set the stage for the derivation of design guidelines, and emphasis is given on practical aspects and consequences of the presented results. Analytical models and derivations are introduced when needed to gain an insight into the inter-dependence of design parameters under practical constraints. This book serves as a valuable reference for practicing engineers working in the VLSI design area, and as text book for senior undergraduate, graduate  and postgraduate students (already familiar with digital circuits and timing).
 

Citește tot Restrânge

Toate formatele și edițiile

Toate formatele și edițiile Preț Express
Paperback (1) 60585 lei  6-8 săpt.
  Springer International Publishing – 23 aug 2016 60585 lei  6-8 săpt.
Hardback (1) 61172 lei  6-8 săpt.
  Springer International Publishing – 30 oct 2014 61172 lei  6-8 săpt.

Preț: 61172 lei

Preț vechi: 71967 lei
-15% Nou

Puncte Express: 918

Preț estimativ în valută:
11715 12677$ 9766£

Carte tipărită la comandă

Livrare economică 09-23 decembrie

Preluare comenzi: 021 569.72.76

Specificații

ISBN-13: 9783319019963
ISBN-10: 3319019961
Pagini: 300
Ilustrații: XV, 260 p. 123 illus., 5 illus. in color.
Dimensiuni: 155 x 235 x 17 mm
Greutate: 0.57 kg
Ediția:2015
Editura: Springer International Publishing
Colecția Springer
Locul publicării:Cham, Switzerland

Public țintă

Research

Cuprins

The Logical Effort Method.- Design in the Energy-Delay Space.- Clocked Storage Elements.- Flip-Flop Optimized Design.- Analysis and Comparison in the Energy-Delay-Area Domain.- Energy Efficiency Versus Clock Slope.- Hold Time Issues and Impact of variations on Flip-Flop Topologies.- Ultra-Fast and Energy-Efficient Pulsed Latch Topologies.

Textul de pe ultima copertă

This book provides a unified treatment of Flip-Flop design and selection in nanometer CMOS VLSI systems. The design aspects related to the energy-delay tradeoff in Flip-Flops are discussed, including their energy-optimal selection according to the targeted application, and the detailed circuit design in nanometer CMOS VLSI systems. Design strategies are derived in a coherent framework that includes explicitly nanometer effects, including leakage, layout parasitics and process/voltage/temperature variations, as main advances over the existing body of work in the field. The related design tradeoffs are explored in a wide range of applications and the related energy-performance targets. A wide range of existing and recently proposed Flip-Flop topologies are discussed. Theoretical foundations are provided to set the stage for the derivation of design guidelines, and emphasis is given on practical aspects and consequences of the presented results. Analytical models and derivations are introduced when needed to gain an insight into the inter-dependence of design parameters under practical constraints. This book serves as a valuable reference for practicing engineers working in the VLSI design area, and as text book for senior undergraduate, graduate  and postgraduate students (already familiar with digital circuits and timing).
• Provides a unified treatment of Flip-Flop design and energy/variation-aware selection in nanometer CMOS VLSI systems
• Offers in-depth analysis of the impact of nanometer effects on  design tradeoffs
• Presents a comprehensive analysis, by considering more than 20 topologies covering all relevant classes of circuits
• Uses a rigorous framework based on novel methodologies to include layout parasitics within the circuit design loop
 


Caracteristici

Provides a unified treatment of Flip-Flop design and energy/variation-aware selection in nanometer CMOS VLSI systems Offers in-depth analysis of the impact of nanometer effects on design tradeoffs Presents a comprehensive analysis, by considering more than 20 topologies covering all relevant classes of circuits Uses a rigorous framework based on novel methodologies to include layout parasitics within the circuit design loop Includes supplementary material: sn.pub/extras